当前位置: 答题翼 > 问答 > 求职面试 > 正文
目录: 标题| 题干| 答案| 搜索| 相关
问题

写异步D触发器的verilog module。(扬智电子笔试) module dff8(clk , reset, d, q); input clk;


写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

请帮忙给出正确答案和分析,谢谢!

参考答案
您可能感兴趣的试题
  • 用D触发器实现2倍分频的Verilog描述? (汉王笔试)

  • 用D触发器实现2倍分频的Verilog描述? (汉王笔试)

  • 用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

  • 写异步D触发器的verilog module。(扬智电子笔试)

  • 写异步D触发器的verilog module。(扬智电子笔试)

  • 用D触发器实现2倍分频的Verilog描述? (汉王笔试)